NC-Verilog hdl.var problem?

vhdl

    Next

  • 1. Serial Data Capture
    It's been 20 plus years since I did any real design work. I currently am starting to learn VHDL in order to create a design that will capture serial data. The serial interface I need to capture data from consists of a 1 Mhz Clock, Data (32 bits) and Enable signals. Any help on where to look for examples or how to get started would be appreciated. If this is the wrong news group, let me know. Thanks, Joel
  • 2. MOD operator synthesis
    i'v coded gcd algorithm in vhdl, and i'v used the MOD operator, but i got problems in synthesis, synthesis is ready to accept power of two right operand with MOD operator. any one have idea how to get over this problem?
  • 3. coding issues with vhdl and ROM
    Hi, I was wondering if anyone had any source vhdl source code, and could help me out with this issue. I am working on a vhdl(I am a novice at the language) project in which I create a counter, and project it onto a monitor. I have coded the various timers, as well as the vga generation code. My plan was to take the timer, store the value of each digit in the on board ROM, and then use look up takes to project this onto the screen. However, I have been having serious problems using the look up table function, and I cant seem to get around it. I have a source file of what values I want within each memory address. If anyone has any ideas or source code, it would be very much appreciated. Thanks in advance. Best regards.
  • 4. millions combinations of test vectors for ALU
    Hello, I need to verify my ALU. I have generate the input text file which consits of 20 bits as follows : 4 bit - opcode 8 bit - operandA 8 bit - operandB what is the best way to read the input text file which consist of 2 to the power of 20 combinational test cases. please give example in vhdl. can i just read the test vectors by each line as code below file infile : text is in "input.txt"; variable aluinput : std_logic_vector(19 downto 0); variable buff : line; begin -- process if rst = '0' then A <= "00000000"; B <= "00000000"; elsif clock'event and clock = '1' then if not (endfile(infile)) then readline(infile,buff); read(buff,aluinput); A <= aluinput(7 downto 0); B <= aluinput(15 downto 8); S <= aluinput(19 downto 16); end if; end if; is this the efficient way or are there any other alternatives ? does anybody out there know how to read the input text file in C model ? thanks in advance. lily.
  • 5. NCO DESIGN
    Hi everybody, I would like to implement a NCO (Numerical Controlled Oscillator). The output is a square wave. The reference clock is 352 MHz. I want the output varies by step of 2,841ns around a 22MHz square signal. What is the simplest way to implement it : if rising_edge(clk_ref) then count <= count + 1 + in; end; if (count>=16) then output <= 1; count <= 0; else output <= 0; end;

NC-Verilog hdl.var problem?

Postby Davy » Mon, 13 Feb 2006 19:04:31 GMT

Hi all,

I am a NC-Verilog newbie and confused with NC-Verilog's file.

In cds.lib, map logical lib name to physical location
DEFINE ic_lib /lsi_lib

Why map again in hdl.var?
DEFINE WORK worklib
DEFINE LIB_MAP (myfile.v => mylib, ./cell_lib => techlib, + => worklib)

Does "myfile.v => mylib" means compile myfile.v to mylib?
And what's "+ => worklib" mean in LIB_MAP?

Best regards,
Davy


Re: NC-Verilog hdl.var problem?

Postby Ajeetha » Mon, 13 Feb 2006 21:33:20 GMT

>> In cds.lib, map logical lib name to physical location

hdl.var --> Defines HDL Variables such as:


      WORK
      Tool options (NCVHDLOPTS, NCELABOPTS, NCVLOGOPTS, NCSIMOPTS etc.)
      Files to library maps



Read that from a VHDL perspective - one can have several libraries and
have different design units compiled to different libraries. For that
you need a mechanism to associate which files go to which library.



This specifies a default WORK for you. Again little VHDL centric - VHDL
needs a WORK to compile any thing. One can override this with command
line -work option. Note that the "worklib" above is a LOGICAL name and
that must be defined by a cds.lib to a physical directory.




Slowly becoming a NC expert you are :-)



Any other file goes there - i..e like "*" in regular expressions,
default in a Verilog case statement etc.

HTH
Ajeetha, CVC
www.noveldv.com


Similar Threads:

1.How to include paths to Header Files in NC-Sim/NC-Verilog

Can someone show me how to include header file paths in the PC based NC-Sim
environment ?? In unix I would have just done +include+<directory_name>+ in
my run.f file;
I tried adding the paths in the  "include" window in the verilog compiler
option but it still complains that it cant find "sys_defs.vh" that I have in
a number of my source files as -
`include "sys_defs.h"
Thanks for your help,

Rajat


2.verilog HDL problem

what is the error in the following code. in it the main module is
"test". in that module's "always" block another module "counter" is
called. but it shows error. how can i solve the problem? how can i
call another module in always block?


module counter(clock, reset, count);
    input clock, reset;
    output [3:0] count;

    reg [3:0] next_count,count;

    always@*
    begin
        if(count<15)
            next_count=count+4'd1;
        else
            next_count=count;
    end

    always@(posedge clock)
    begin
        if(reset)
           count<=4'd0;
        else
           count<=next_count;
    end
endmodule


module test(clock,reset,count);
input clock, reset;
output [3:0] count;
reg [3:0] count;
always @(clock)
    counter(clock, reset, count);
endmodule

3.What's the difference between HDL Compiler(Presto Verilog) & HDL Compiler for Verilog?

In article < XXXX@XXXXX.COM >, 
 XXXX@XXXXX.COM  says...
> Hi,
>     I'm reading the Synopsys documentations. There are two documents.
> One is HDL Compiler for Verilog Reference Manual, the other is HDL
> Compiler (Presto Verilog) Reference Manual. Can somebody tell me
> what's the difference between them?
> Best wishes,
> Peng
 
Synopsys' old verilog reader / synthesizer is the HDL compiler.  Presto 
is their newer (more supported) verilog reader / synthesizer.  The main 
difference between the two is that they have different bugs.

-- 
Rich Iachetta
I do not speak for IBM

4.Compilation order of verilog files in NCVerilog/VCS simulator to choose the dealy mode

Hi..

Recently, I started learning to do timing simulation using NCVerilog
and VCS simulator. I have the following problem of specifying the
correct delay mode compiler directive..

In the testbench, I specified `delay_mode_distributed and
in the DUT (design under test) I specified `delay_mode_path (The sdf
file is called using $sdf_annotate in DUT)...

With this set up , my understanding is that, the testbench should
execute under distributed delay;
and the DUT should execute under path delay;

If that is true, then I have the following strange observation:

During compilation of verilog file, If I first compile testbench; and
then compile DUT....Then there is no problem..The testbench is executed
with distributed delay; and DUT with path delay...

But, if we compile, DUT first; and then Testbench...In this case, the
DUT is also executed under distributed mode instead of path mode...This
is kind of wierd...

If anyone of you know the solution for this..please do let me know..It
will be of great help...

Please, let me know if I need to provide any other information..

Thanks,
Kesav..

5.config-endconfig in NC-Verilog

I'm trying to use a configuration (config endconfig construct)
with NC-Verilog. The goal is to have multiple configurations
available in one library. Each configuration would bind a different
implementation to the DUT (RTL, post-synthesis netlist, post place
and route netlist).

In order to test the principle, I created a small example with a
top module instantiating a leaf module. This leaf module is bound
to a module from different libraries. So I have two configurations:

File top_cfg1.v:
config top_cfg1;
   design work.top;
   default liblist work;
   cell leaf use lib1.leaf;
endconfig

File top_cfg2.v:
config top_cfg2;
   design work.top;
   default liblist work;
   cell leaf use lib2.leaf;
endconfig

In ModelSim, this works like a charm.

In NC-Verilog, trying to compile a configuration gives:

$ ncvlog verilog/top_cfg1.v
ncvlog: 05.10-p004: (c) Copyright 1995-2003 Cadence Design Systems, Inc.
config top_cfg1;
      |
ncvlog: *E,EXPMPA (verilog/top_cfg1.v,1|5): expecting the keyword 
'module', 'macromodule' or 'primitive'[A.1].

It would seem that the new Verilog-2001 keywords are turned off with the 
-v1995 switch, but that is not the case.

Does this version of ncvlog lack support of configurations? What is the 
latest version of NCSIM anyway? (I cannot find that on the Cadence WEB 
site)

Thanks.
Paul.

6. How to use Code Coverage in NC-Verilog

7. NC Verilog+specify block

8. NC-Verilog and vpi_flush()



Return to vhdl

 

Who is online

Users browsing this forum: No registered users and 10 guest