test pattern

vhdl

    Next

  • 1. Need help getting started !!!
    To comp.lang.vhdl group: I am an EE, but graduated just before my EE department started teaching VHDL. I have a book, *The Student's Guide to VHDL*, but would be much more confident if I had some way of verifying my circuits. Does anyone know of a cheap (preferably free) VHDL simulator? Reduced capacity would be fine; I just need to simulate fairly simple circuits. I am running Windows98. I have found a few simulators that require Linux and one (I wish I could remember the name) that I guess wanted XP...it told me I have the wrong version of windows. Thanks, Ted R
  • 2. compiler for Xilinx Spartan 1 (XCS) family
    Hi, I found some old Spartan 1 FPGA at a local distributor, who are pretty cheap. But I don't have a compiler for these FPGAs, because the Xilinx ISE version 5 and 6 don't support them any more. Does anybody know, if there is still a compiler available? Regards, Stephan
  • 3. Problem simulating Xilinx CoreGenerator Cores with ModelSim SE 5.8C.
    Hi. I'm having issues simulating my design that uses a Xilinx CoreGenerator core. I imported the XilinxCoreLib directory, but ModelSim doesn't recognize the files as a library. Does anyone know if Xilinx provides a pre-compiled ModelSim library similar to those for unisim and simprim? Or, has anyone successfully simulated a Xilinx Core in VHDL, for example a fifo? Thanks, Jeremy
  • 4. Bit Reset
    Hi This problem is a bit hard to explain, but I'll try anyway. I have a register in my system, which contains a bit. When the user writes a 1 to this bit, it signals to the system that it should perform a task. Once the task is complete I would like to clear the bit. If the bit isn't cleared the system will get stuck in an endless loop. Does anyone know any good techniques for clearing a bit, which is settable by the user? Or in other words, how can I set the bit in one process and then clear it in another? Surely this is a common problem. Thanks for any help.
  • 5. split matrices
    Hello, I'm a beginner in vhdl. I use Mentor Graphics for programming VHDL. I want to split a matrix. For example I have a port "in" with 6 rows, 110011. I want to split it to 2 ports The ports are: "en" with 2 rows and "opsel" with 4 rows. The result must: en=11 and opsel=0011 How can I do this. I have already tested: en<=in (5 DOWNMTO 4); opsel<= in (3 DOWNTO 0); It didn't work in autologic II. After synthesis the es an error with Type mismatch ... netlist failed. What can I do. Thanks Martin

test pattern

Postby martstev » Fri, 16 Jan 2009 01:33:10 GMT

I am still learning VHDL and need some help please! This is what i
have

clk: in std_logic;
data : in std_logic_vector ( 3 downto 0);
data_out: out std_logic_vector (3 downto 0);

clock is running at 20 MHz and data is synchronized with the clock.

if data is in this order "1111", "1010" "1111", "xxxx" than, data out
is "xxxx". So basically I have to look at the data pattern  back to
back and then activate my output..if pattern matches, "1111", "0xa"
and "0xb", output is good to go, else have just wait...

I was thinking to have data stored in FIFO and the have some sort of
state machine to look for test pattern..but not sure if that's the
best of doing this...any advice???

Re: test pattern

Postby LittleAlex » Fri, 16 Jan 2009 02:19:17 GMT



If I understand your question, you are looking for the sequence 'F'
'A' 'B', and if found, output the data.

This is a classic state-machine learning/homework study exercise.

There is no need to store the codes while waiting for the pattern.
When you get to the 'found' state, you know what the 3 previous codes
are.

That should be enough to get you started.

AL

Similar Threads:

1.Lisp pattern matching libraries & difference between unification and pattern matching

2.Lisp pattern matching libraries & difference between unification and pattern matching

3.Lisp pattern matching libraries & difference between unification and pattern matching

I want to make small embedded prolog with modular design so I'm
shopping for an existing  pattern matching library. If anybody has
some experience using them I would appreciate if you share it.
I started first with cl-unification, because of it's high quality
documentation, that would be even better if Marco gave some examples
of using match  &  matching macros,  and especially what's the idiom
of writing something like below in home made libraries:
(match '(p a b c a) '(p ?x ?y c ?x))

What's the difference between unification & pattern matching?
Especially with examples  what could be done with unification that
can't be done with pattern matching or vice versa?

thanks
Slobodan

4.Call oddities: &Test() vs &Test vs Test

Hello,

There are some call oddities in both languages: Delphi and C.

The purpose of a language is to tell the computer what to do... but ofcourse 
a language is also for us humans to understand what is written/ment.

Therefore it would be a nobble cause to try and make the language as clearly 
as possible.

I now present to you some "cases" where both languages Delphi and C fail in 
this regard:

Case 1 (Delphi):

IdentifierA = IdentifierB;

From this single line of code it cannot be said if IdentifierB is a field or 
a routine call yet it's still allowed to be written in Delphi. Correct 
translation from Delphi to C/C++ without more information, is therefore not 
possible.

Case 2 (C):

IdentifierB;

From this single line of code it cannot be said if IdentifierB is a field or 
a routine yet it's still allowed to be written in C. If IdentiferB is a 
routine then no call will happen, which is inconsistent with Delphi and 
could lead to bugs when translating from Delphi to C/C++. Correct 
translation from Delphi to C/C++ without more information, is therefore not 
possible.

Case 3 (Delphi):

if (Identifier) then

From this single line of code it cannot be said if Identifier is a field or 
a routine call yet it's still allowed to be written in Delphi. Correct 
translation from Delphi to C/C++ without more information, is therefore not 
possible.

The question is can the situation be improved ?

A possible solution could be to make the () for routine calls mandatory.

Also for acquiring a pointer to a routine the () could be mandatory.

Instead of writing:

IdentifierA = &IdentifierB;

It would become:

IdentifierA = &IdentifierB();

Which would return the address of the routine IdentifierB.

IdentifierA = &IdentifierB()();

Would return the address of the second routine which is being called by the 
function pointer returned by the first routine.

(Note: Delphi does not have this functionality: Calling a returned function 
pointer immediatly).

Currently the situation is reserved to acquire a pointer to a routine in C 
the () must not be written:

IdentifierA = &Identifier;

The question is:

Would any functionality in C be lost if this is changed to described as 
above:

IdentifierA = &Identifier();

?

Bye,
  Skybuck. 


5.Testing an assembler using TSOEXEC TEST and breakpoint

Hello,

I'm trying to test and debug an assembler(370) program and i've been
told that i should use TSOEXEC TEST command and by setting a break
point.

Can someone help me.

Thanks,
John

6. test test

7. OVI test and Compliance Commitee test suite......

8. Cool test bench generator for testing some devices which describe by Verilog or VHDL



Return to vhdl

 

Who is online

Users browsing this forum: No registered users and 49 guest